Loading...
range_start svn_location_segment_t
recorded_size svn_wc_info_t
recorded_time svn_wc_info_t
repos svn_wc_entry_t
repos_root svn_commit_info_t
repos_url svn_wc_conflict_version_t
rev_prop svn_ra_plugin_t
rev_proplist svn_ra_plugin_t
rev_props svn_wc_notify_t
reverse svn_patch_t
revprops svn_log_entry_t
Searching...
No Matches